CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ps2 keyboard

搜索资源列表

  1. keyboard

    0下载:
  2. 开发目标:PS2键盘在ATmega16下的应用 开发环境:IAR 使用方法:将文件插入IAR工程,并在主程序中对硬件配制做相应修改-Development objectives: PS2 keyboard ATmega16 under the application development environment: IAR Usage: Copy the file into IAR works, and in the main program in the hardware to
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1608
    • 提供者:Lee
  1. The-MCU-Communication-standard-keyboard-PS2

    0下载:
  2. ,基于单片机开发。标准键盘PS2与单片机通信-The MCU Communication standard keyboard PS2
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:63979
    • 提供者:luo
  1. PS2

    0下载:
  2. 51单片机通过外部中断读取标准的PS2串行键盘,并在1602液晶上显示-51 MCU via an external interrupt to read a standard PS2 keyboard, serial, and in 1602 LCD display
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:16667
    • 提供者:ydp
  1. PS2

    0下载:
  2. AVR程序,扫描美式键盘,识别shift建有无按下,无显示小写,有显示大写-AVR procedures, scanning U.S. keyboard, identify whether or press the shift to build, no display lowercase, showing the upper
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:2441
    • 提供者:sky
  1. Visio-schemat_blokowy_niezawodno____

    0下载:
  2. ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:38863
    • 提供者:Mat
  1. ps2

    0下载:
  2. PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:601330
    • 提供者:洪依
  1. ps2

    0下载:
  2. PIC18F4520单片机,键盘PS2程序-PIC18F4520 microcontroller, keyboard PS2 procedures
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:16979
    • 提供者:李云
  1. Kbtestbench

    0下载:
  2. VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2279
    • 提供者:hongwan
  1. keyboard_vhdl

    0下载:
  2. ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-29
    • 文件大小:637669
    • 提供者:arekk
  1. PS2

    0下载:
  2. A PS2 core on SOPC.You can use it to control a mouse or a ATA keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:219674
    • 提供者:lenam
  1. PS2-c51

    0下载:
  2. STC单片机,驱动ps/2的104台式机键盘.-STC microcontroller, driver ps/2 104 desktop keyboard.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:20451
    • 提供者:田红峰
  1. Ps2

    0下载:
  2. 51单片机的操作键盘的实例,希望能帮助需要的人。前些时候找的,很难找到有用的,自已得加工改进一下子。-51 Microcontroller an instance of the operation of the keyboard, hoping to help people in need. Some time ago looking for, it is difficult to find useful, self-improvement were processed at once.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:3105
    • 提供者:aa
  1. PS2_Keyboard_and_Microcontroller_Communication

    1下载:
  2. 这是PC机上的PS2键盘与单片机通信的程序代码,用来实现单片机遇pc的通信。-This is a PC, the PS2 keyboard to communicate with the MCU program code used to achieve SCM encountered pc communication.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-12-09
    • 文件大小:60240
    • 提供者:罗小平
  1. PS2

    0下载:
  2. 这是用中断方式读取PS2键盘,之后通过串口发送给电脑,相对于用循环方式,有更快的优点。-It is used to read PS2 keyboard interrupt, then sent to a computer via serial port, compared with the cycle way, a faster advantages.
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-01
    • 文件大小:28942
    • 提供者:cwd
  1. ps2

    0下载:
  2. FPGA实现ps2键盘控制,sparden 3s 250e-FPGA realization of ps2 keyboard, sparden 3s 250e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1193
    • 提供者:burt
  1. ps2

    0下载:
  2. 使用fpga 10k20 和dac0832构成一个音乐发生器,按键采用ps2键盘。可以产生32个音阶-Using fpga 10k20 and dac0832 form a music generator, using ps2 keyboard keys. Can produce 32 scales
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:67439
    • 提供者:王志华
  1. ps2

    0下载:
  2. ps2键盘接口逻辑设计,适合做毕业论文或课程设计的同学参考-ps2 keyboard interface logic design, suitable for students thesis or course design reference
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:208181
    • 提供者:cheng
  1. PS2

    0下载:
  2. PS2键盘鼠标资料实验 单片机中C语言编程PS2键盘鼠标-PS2 keyboard and mouse data in the C language programming experiment SCM PS2 keyboard and mouse
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-25
    • 文件大小:1009689
    • 提供者: 许之尧
  1. ps2

    0下载:
  2. ps/2 keyboard, c source code for avr
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:5707
    • 提供者:Snems
  1. PS2

    0下载:
  2. 我用STC单片机做的PS2键盘模拟测试软件,对有需要的朋友有参考-I used to do the PS2 keyboard STC microcontroller simulation testing software, a reference to a friend in need
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:55812
    • 提供者:魏小鹏
« 1 2 3 45 6 7 8 9 10 ... 36 »
搜珍网 www.dssz.com